Browsing byAuthor안진호

Jump to:
All A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
  • Sort by:
  • In order:
  • Results/Page
  • Authors/Record:

Showing results 64 to 93 of 103

Issue DateTitleAuthor(s)
2021-11Nano-polycrystalline Ag-doped ZnO layer for steep-slope threshold switching selectors안진호
2013-07Nanolithography on Graphene by Using Scanning Tunneling Microscopy in a Methanol Environment안진호
2014-11Nanopatterned yttrium aluminum garnet phosphor incorporated film for high-brightness GaN-based white light emitting diodes안진호
2014-03Nanopatterned yttrium aluminumgarnet phosphor incorporated filmfor high-brightness GaN-based white light emitting diodes high-brightness GaN-based white light emitting diodes안진호
2011-06Nanosize Patterning with Nanoimprint Lithography Using Poly(vinyl alcohol) Transfer Layer안진호
2021-07A new route of synthesizing atomically thin 2D materials embedded in bulk oxides안진호
2017-01Nickel and nickel oxide thin films as absorber layer materials of extreme ultraviolet masks안진호
2020-03Ozone based high-temperature atomic layer deposition of SiO2 thin films안진호
2019-10Performance of Extreme Ultraviolet Coherent Scattering Microscope안진호
2016-09Phase Shift Mask to Compensate for Mask 3D Effect in High-Numerical-Aperture Extreme Ultraviolet Lithography안진호
2019-12Plasma Etching of SiO2 with CF3I Gas in Plasma-Enhanced Chemical Vapor Deposition Chamber for In-Situ Cleaning안진호
2021-03Plasma-Enhanced Atomic-Layer Deposition of Nanometer-Thick SiNx Films Using Trichlorodisilane for Etch-Resistant Coatings안진호
2013-04Raman spectroscopic image analysis on micropatterned graphene안진호
2018-06Removal of EUV exposed hydrocarbon from Ru capping layer of EUV mask using the mixture of alkaline solutions and organic solvents안진호
2012-11Removing graphite flakes for preparing mechanically exfoliated graphene sample안진호
2015-09Resistive Switching Characteristics of Atomic-Layer-Deposited Y2O3 Insulators with Deposition Temperature안진호
2015-07Roughening of Polyimide Surface for Inkjet Printing by Plasma Etching Using the Polyimide Masked with Polystyrene Nanosphere Array안진호
2015-09SRAF를 적용한 극자외선 노광기술용 위상 변위 마스크의 반사도에 따른 이미징 특성 연구안진호
2013-08Stochastic Patterning Simulation Using Attenuated Phase-Shift Mask for Extreme Ultraviolet Lithography안진호
2011-12Structure, Raman, and photoluminescence properties of SnO2/MgO core-shell nanowires안진호
2016-09Sub-Resolution Assist Feature in Attenuated Phase-Shift Mask for Extreme Ultraviolet Lithography안진호
2014-08Substrate effects on the transmittance of 1D metal grid transparent electrodes안진호
2012-02Suppressed Thermally Induced Flatband Voltage Instabilities with Binary Noble Metal Gated Metal-Oxide-Semiconductor Capacitors안진호
2019-09Synthesis of Ag-ZnO core-shell nanoparticles with enhanced photocatalytic activity through atomic layer deposition안진호
2012-12The synthesis of crystalline SnO2 whiskers via a metalorganic chemical vapor deposition process안진호
2018-02Thickness-dependent and anisotropic thermal conductivity of black phosphorus nanosheets안진호
2019-07Through-pellicle imaging of extreme ultraviolet mask with extreme ultraviolet ptychography microscope안진호
2019-02Understanding of relationship between dopant and substitutional site to develop novel phase-change materials based on In3SbTe2안진호
2017-01Uniform dehydrogenation of amorphous silicon thin films using a wide thermal annealing system안진호
2015-03The variation of the enhanced PL efficiency of Y2O3:Eu3+ phosphor films with the height to the ZrO2 nanoparticle-assisted 2D PCL by reverse nano-imprint lithography안진호

BROWSE