422 0

Full metadata record

DC FieldValueLanguage
dc.contributor.author오혜근-
dc.date.accessioned2018-03-19T00:04:42Z-
dc.date.available2018-03-19T00:04:42Z-
dc.date.issued2016-01-
dc.identifier.citationJOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS, v. 15, No. 2, Article no. 210031-210039en_US
dc.identifier.issn1932-5150-
dc.identifier.issn1932-5134-
dc.identifier.urihttps://www.spiedigitallibrary.org/journals/Journal-of-MicroNanolithography-MEMS-and-MOEMS/volume-15/issue-2/021003/Impact-of-a-deformed-extreme-ultraviolet-pellicle-in-terms-of/10.1117/1.JMM.15.2.021003.full?SSO=1-
dc.identifier.urihttp://hdl.handle.net/20.500.11754/48456-
dc.description.abstractThe usage of an extreme ultraviolet (EUV) pellicle is regarded as a potential solution for defect control because it can protect the mask from airborne debris. However, some obstacles disrupt realistic application of the pellicle, such as its structural weakness, the risk of thermal damage, and so on. For these reasons, flawless fabrication of the pellicle is impossible. We discuss the influence of a deformed pellicle in terms of the nonuniform intensity distribution and the critical dimension (CD) uniformity. When we consider a 16-nm periodic pattern with dipole illumination, a transmission difference (max-min) of 0.7% causes CD uniformity of 0.1 nm. The deflection of the aerial image caused by gravity is small enough to ignore. CD uniformity is ˂0.1 nm, even for the current gap of 2 mm between the mask and pellicle. However, wrinkling of the EUV pellicle, caused by heat, can cause serious image distortion because a wrinkled EUV pellicle experiences both transmission loss variation as well as CD nonuniformity. The local angle of a wrinkle (as opposed to the period or amplitude of a wrinkle) is the main factor that influences CD uniformity, and a local angle of ˂ similar to 16 deg is needed to achieve 0.1-nm CD uniformity for a 16-nm L/S pattern. (C) 2016 Society of Photo-Optical Instrumentation Engineers (SPIE)en_US
dc.description.sponsorshipThis work was supported by the Future Semiconductor Device Technology Development Program #10045366 funded by Ministry of Trade, Industry & Energy and Korea Semiconductor Research Consortium.en_US
dc.language.isoen_USen_US
dc.publisherSPIE-SOC PHOTO-OPTICAL INSTRUMENTATION ENGINEERSen_US
dc.subjectextreme ultraviolet lithographyen_US
dc.subjectpellicleen_US
dc.subjectpellicle deformationen_US
dc.subjectcritical dimension uniformityen_US
dc.subjectLITHOGRAPHYen_US
dc.titleImpact of a deformed extreme ultraviolet pellicle in terms of the critical dimension uniformityen_US
dc.typeArticleen_US
dc.relation.no2-
dc.relation.volume15-
dc.identifier.doi10.1117/1.JMM.15.2.021003-
dc.relation.page210031-210039-
dc.relation.journalJOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS-
dc.contributor.googleauthorKim, In-Seon-
dc.contributor.googleauthorYeung, Michael-
dc.contributor.googleauthorBarouch, Eytan-
dc.contributor.googleauthorOh, Hye-Keun-
dc.relation.code2016007288-
dc.sector.campusE-
dc.sector.daehakCOLLEGE OF SCIENCE AND CONVERGENCE TECHNOLOGY[E]-
dc.sector.departmentDEPARTMENT OF APPLIED PHYSICS-
dc.identifier.pidhyekeun-
Appears in Collections:
COLLEGE OF SCIENCE AND CONVERGENCE TECHNOLOGY[E](과학기술융합대학) > ETC
Files in This Item:
There are no files associated with this item.
Export
RIS (EndNote)
XLS (Excel)
XML


qrcode

Items in DSpace are protected by copyright, with all rights reserved, unless otherwise indicated.

BROWSE