Full metadata record

DC FieldValueLanguage
dc.contributor.author백상현-
dc.date.accessioned2023-07-14T01:21:52Z-
dc.date.available2023-07-14T01:21:52Z-
dc.date.issued2023-01-
dc.identifier.citationELECTRONICS, v. 12, NO. 1, article no. 32, Page. 1-17-
dc.identifier.issn2079-9292;2079-9292-
dc.identifier.urihttps://www.mdpi.com/2079-9292/12/1/32en_US
dc.identifier.urihttps://repository.hanyang.ac.kr/handle/20.500.11754/183601-
dc.description.abstractHigh-bandwidth memory 2 (HBM2) vertically stacks multiple dynamic random-access memory (DRAM) dies to achieve a small form factor and high capacity. However, it is difficult to diagnose HBM2 issues owing to their structural complexity and 2.5D integration with heterogeneous chips. The effects of the temperature at the base logic die (T-L), and the refresh interval at the stacked DRAM dies, were experimentally investigated by counting the dynamic retention errors in the eight channels in an HBM2. T-L was indirectly controlled by the heatsink temperature (T-S). The lognormal distribution represents the distribution of the cell counts with varying refresh times. All Z-magnitudes (multiples of the distribution standard deviation) over the various refresh cycle times (RCTs) up to 2.045 s in a single channel at T-L of 70 degrees C appeared below 4.4, which means that the error bits belong to the tail distribution. The Z-differences in the eight channels were distinctively larger than the Z-differences of the same channels at a constant temperature, demonstrating that the temperature difference in the stacked dies resulted in larger Z-differences. The largest Z-difference was 0.091 for all the channels at an RCT of 1.406 s, which was approximately 4.82 times smaller than the Z-difference between the T-L temperatures of 70 degrees C and 80 degrees C in a single channel. The Z-difference between the T-L temperatures of 70 degrees C and 72 degrees C in a single channel was approximately the same as the Z-difference in all the channels at an RCT of 2.045 s.-
dc.description.sponsorshipThis work was supported by Cisco Systems Inc. U.S.A. and the Basic Science Research Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Science, ICT & Future Planning (NRF-2020R1H1A2103043).-
dc.languageen-
dc.publisherMDPI-
dc.subjectHBM2-
dc.subjectFPGA-
dc.subjectDRAM-
dc.subject3D IC-
dc.subjectretention error-
dc.titleTemperature Estimation of HBM2 Channels with Tail Distribution of Retention Errors in FPGA-HBM2 Platform-
dc.typeArticle-
dc.relation.no1-
dc.relation.volume12-
dc.identifier.doi10.3390/electronics12010032-
dc.relation.page1-17-
dc.relation.journalELECTRONICS-
dc.contributor.googleauthorKwon, Junhyeong-
dc.contributor.googleauthorWen, Shi-Jie-
dc.contributor.googleauthorFung, Rita-
dc.contributor.googleauthorBaeg, Sanghyeon-
dc.sector.campusE-
dc.sector.daehak공학대학-
dc.sector.department전자공학부-
dc.identifier.pidbau-
dc.identifier.article32-


qrcode

Items in DSpace are protected by copyright, with all rights reserved, unless otherwise indicated.

BROWSE