384 0

Efficient Pre-Bond Testing of TSV Defects Based on IEEE std. 1500 Wrapper Cells

Title
Efficient Pre-Bond Testing of TSV Defects Based on IEEE std. 1500 Wrapper Cells
Author
박성주
Keywords
TSV defect; IEEE std. 1500 wrapper cell; load capacitance; delay test; pre-bond test; THROUGH-SILICON VIAS; DELAY TEST; ICS
Issue Date
2016-04
Publisher
대한전자공학회
Citation
JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, v. 16, No. 2, Page. 226-235
Abstract
The yield of 3D stacked IC manufacturing improves with the pre-bond integrity testing of through silicon vias (TSVs). In this paper, an efficient pre-bond test method is presented based on IEEE std. 1500, which can precisely diagnose any happening of TSV defects. The IEEE std. 1500 wrapper cells are augmented for the proposed method. The pre-bond TSV test can be performed by adjusting the driving strength of TSV drivers and the test clock frequency. The experimental results show the advantages of the proposed approach.
URI
http://www.dbpia.co.kr/Journal/ArticleDetail/NODE06663221https://repository.hanyang.ac.kr/handle/20.500.11754/102274
ISSN
1598-1657; 2233-4866
DOI
10.5573/JSTS.2016.16.2.226
Appears in Collections:
COLLEGE OF COMPUTING[E](소프트웨어융합대학) > COMPUTER SCIENCE(소프트웨어학부) > Articles
Files in This Item:
There are no files associated with this item.
Export
RIS (EndNote)
XLS (Excel)
XML


qrcode

Items in DSpace are protected by copyright, with all rights reserved, unless otherwise indicated.

BROWSE