289 0

Full metadata record

DC FieldValueLanguage
dc.contributor.author오혜근-
dc.date.accessioned2018-06-04T06:18:56Z-
dc.date.available2018-06-04T06:18:56Z-
dc.date.issued2017-03-
dc.identifier.citationProceedings of SPIE - The International Society for Optical Engineering, V. 10143en_US
dc.identifier.issn0277-786X-
dc.identifier.urihttps://www.spiedigitallibrary.org/conference-proceedings-of-spie/10143/101431V/Impact-of-non-uniform-wrinkles-for-a-multi-stack-pellicle/10.1117/12.2258189.full-
dc.identifier.urihttps://repository.hanyang.ac.kr/handle/20.500.11754/71825-
dc.description.abstractExtreme ultraviolet (EUV) pellicle is required for protecting the EUV mask from defects, contaminations, and particles during exposure process. EUV pellicle should be very thin for high transmission of EUV wavelength. Therefore, EUV pellicle can be easily deformed during the exposure process, and the multi-stack pellicle is suggested to minimize this deformation of EUV pellicle. The EUV multi-stack pellicle is made of polysilicon-based core layer and capping layers for the durability during the exposure process. Nevertheless, there remains other manufacturing, thermal, and mechanical problems. In this study, we investigated the impact of wrinkles of EUV pellicle, which can be formed during pellicle manufacturing or exposure process, in terms of transmission non-uniformity and critical dimension (CD) variation for 5- nm and 3-nm nodes. To fabricate 3-nm node, we need a high numerical aperture (NA) system such as an anamorphic NA system with chief ray angle of 6-degree. The wrinkle can be uniform in height and period, but we assumed a realistic non-uniform wrinkle. This non-uniform wrinkle of multi-stack pellicle may cause different image distortion for 5-nm and 3-nm nodes with the isomorphic and anamorphic NA systems. The transmission non-uniformity is calculated with various heights and periods of non-uniform wrinkles of the pellicle. It is found that the transmission non-uniformity for wrinkled pellicle for the anamorphic NA system can be larger than that for the isomorphic NA system to obtain CD uniformity below 0.2 nm. © 2017 SPIE.en_US
dc.description.sponsorshipThis work was supported by the Future Semiconductor Device Technology Development Program #10052714 funded By MOTIE (Ministry of Trade, Industry & Energy) and KSRC(Korea Semiconductor Research Consortium).en_US
dc.language.isoen_USen_US
dc.publisherSPIEen_US
dc.subjectEUV pellicleen_US
dc.subjectMulti-stack pellicleen_US
dc.subjectPellicle deformationen_US
dc.subjectWrinkled multi-stack pellicleen_US
dc.titleImpact of non-uniform wrinkles for a multi-stack pellicle in EUV lithographyen_US
dc.typeArticleen_US
dc.identifier.doi10.1117/12.2258189-
dc.relation.page1-11-
dc.contributor.googleauthorKim, Guk-Jin-
dc.contributor.googleauthorKim, In-Seon-
dc.contributor.googleauthorYeung, Michael-
dc.contributor.googleauthorKim, Min-Su-
dc.contributor.googleauthorPark, Jin-Goo-
dc.contributor.googleauthorOh, Hye-Keun-
dc.sector.campusE-
dc.sector.daehakCOLLEGE OF SCIENCE AND CONVERGENCE TECHNOLOGY[E]-
dc.sector.departmentDEPARTMENT OF APPLIED PHYSICS-
dc.identifier.pidhyekeun-
Appears in Collections:
COLLEGE OF SCIENCE AND CONVERGENCE TECHNOLOGY[E](과학기술융합대학) > APPLIED PHYSICS(응용물리학과) > Articles
Files in This Item:
There are no files associated with this item.
Export
RIS (EndNote)
XLS (Excel)
XML


qrcode

Items in DSpace are protected by copyright, with all rights reserved, unless otherwise indicated.

BROWSE