306 0

Full metadata record

DC FieldValueLanguage
dc.contributor.author안진호-
dc.date.accessioned2019-12-04T01:24:28Z-
dc.date.available2019-12-04T01:24:28Z-
dc.date.issued2018-01-
dc.identifier.citationELECTRONIC MATERIALS LETTERS, v. 14, no. 5, page. 533-547en_US
dc.identifier.issn1738-8090-
dc.identifier.issn2093-6788-
dc.identifier.urihttps://link.springer.com/article/10.1007%2Fs13391-018-0058-6-
dc.identifier.urihttps://repository.hanyang.ac.kr/handle/20.500.11754/116992-
dc.description.abstractExtreme ultra violet lithography (EUVL) is no longer a future technology but is going to be inserted into mass production of semiconductor devices of 7 nm technology node in 2018. EUVL is an extension of optical lithography using extremely short wavelength (13.5 nm). This short wavelength requires major modifications in the optical systems due to the very strong absorption of EUV light by materials. Refractive optics can no longer be used, and reflective optics is the only solution to transfer image from mask to wafer. This is why we need the multilayer (ML) mirror-based mask as well as an oblique incident angle of light. This paper discusses the principal theory on the EUV mask design and its component materials including ML reflector and EUV absorber. Mask shadowing effect (or mask 3D effect) is explained and its technical solutions like phase shift mask is reviewed. Even though not all the technical issues on EUV mask are handled in this review paper, you will be able to understand the principles determining the performance of EUV masks.en_US
dc.description.sponsorshipI would like to thank all the authors of the technical papers referenced in this review paper. The author is indebted to all the students and colleagues for their dedicated assistance. This research was supported by the Commercialization Promotion Agency for R&D Outcomes (COMPA), funded by the Ministry of Science and ICT (MSIT) (Grant No. 2017K000389).en_US
dc.language.isoen_USen_US
dc.publisherKOREAN INST METALS MATERIALSen_US
dc.subjectExtreme ultraviolet lithographyen_US
dc.subjectEUV masken_US
dc.subjectAbsorber materialsen_US
dc.subjectMultilayer mirroren_US
dc.subjectPhase shift masken_US
dc.titleMask Materials and Designs for Extreme Ultra Violet Lithographyen_US
dc.typeArticleen_US
dc.relation.no5-
dc.relation.volume14-
dc.identifier.doi10.1007/s13391-018-0058-6-
dc.relation.page533-547-
dc.relation.journalELECTRONIC MATERIALS LETTERS-
dc.contributor.googleauthorKim, Jung Sik-
dc.contributor.googleauthorAhn, Jinho-
dc.relation.code2018007913-
dc.sector.campusS-
dc.sector.daehakCOLLEGE OF ENGINEERING[S]-
dc.sector.departmentDIVISION OF MATERIALS SCIENCE AND ENGINEERING-
dc.identifier.pidjhahn-
Appears in Collections:
COLLEGE OF ENGINEERING[S](공과대학) > MATERIALS SCIENCE AND ENGINEERING(신소재공학부) > Articles
Files in This Item:
There are no files associated with this item.
Export
RIS (EndNote)
XLS (Excel)
XML


qrcode

Items in DSpace are protected by copyright, with all rights reserved, unless otherwise indicated.

BROWSE