Browsing "MATERIALS SCIENCE AND ENGINEERING(신소재공학부)" byAuthor안진호

Jump to:
All A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
  • Sort by:
  • In order:
  • Results/Page
  • Authors/Record:

Showing results 89 to 103 of 103

Issue DateTitleAuthor(s)
2018-02Thickness-dependent and anisotropic thermal conductivity of black phosphorus nanosheets안진호
2019-07Through-pellicle imaging of extreme ultraviolet mask with extreme ultraviolet ptychography microscope안진호
2019-02Understanding of relationship between dopant and substitutional site to develop novel phase-change materials based on In3SbTe2안진호
2017-01Uniform dehydrogenation of amorphous silicon thin films using a wide thermal annealing system안진호
2015-03The variation of the enhanced PL efficiency of Y2O3:Eu3+ phosphor films with the height to the ZrO2 nanoparticle-assisted 2D PCL by reverse nano-imprint lithography안진호
2014-07Various nanofabrication approaches towards two-dimensional photonic crystals for ceramic plate phosphor-capped white light-emitting diodes안진호
2013-07Very Thin Extreme Ultraviolet Mask Absorber Material for Extremely Fine Pitch Patterning안진호
2016-06고 개구수 극자외선 노광 기술용 위상 변위 흡수체 구조의 설계안진호
2016-07그림자 효과 완화 및 내화학성 향상을 위한 탄탈륨-니켈 화합물 극자외선 흡수체안진호
2017-02극자외선 노광공정에서의 사이드 로브 세기와 포톤 샷 노이즈 효과가 컨택 홀 missing 현상에 미치는 영향안진호
2016-09극자외선 리소그라피에서의Sub-resolution assist feature를 이용한 근접효과보정안진호
2019-02나노스케일 질화규소 멤브레인의 기계적, 열적 특성 분석안진호
2016-03물중탕을 이용한 대면적 SiNx EUV 펠리클 제작안진호
2016-03스핀코터를 이용한 박막의 기계적 안정성 평가안진호
2016-06위상변위 극자외선 마스크의 흡수체 패턴의 기울기에 대한 오차허용도 향상안진호

BROWSE