850 0

Attenuated phase shift mask using platinum phase shifter for high numerical aperture extreme ultraviolet lithography

Title
Attenuated phase shift mask using platinum phase shifter for high numerical aperture extreme ultraviolet lithography
Author
김정식
Alternative Author(s)
Jung Sik Kim
Advisor(s)
안진호
Issue Date
2019. 8
Publisher
한양대학교
Degree
Doctor
Abstract
3 nm 이하의 로직 제조 공정을 위해서 고 개구수 (numerical aperture, NA) 극자외선 노광기술이 적용될 가능성이 있다. 하지만 현행 노광장비의 0.33 NA 시스템에서 적용되는 입사각 6°와 4배 축소투영 배율을 고 개구수인 0.55 NA 시스템에 그대로 적용시킬 경우 마스크에 입사하는 빛과 반사하는 빛들이 겹치는 문제 등 NA 증가에 따른 문제점들이 발생한다. 이러한 문제점들을 극복하기 위한 방안으로 x 및 y축 방향의 축소투영 배율이 각각 4배, 8배로 서로 다른 아나모르픽 렌즈 시스템이 개발되고 있다. 이 아나모르 픽 렌즈 시스템을 적용할 경우 마스크 패턴의 x 및 y축 방향의 비율이 달라지기 때문에 mask 패턴에 의해 반사 및 회절되어 나오는 광의 효율 또한 달라질 것으로 예상된다. 따라서 기존 이소모르픽 렌즈 시스템에 대비하여 마스 크 3차원 효과가 다르게 적용될 것으로 예상된다. 또한, 고 개구수 극자외선 노광기술은 더욱 미세한 선폭을 구현해야하기 때문에 마스크 3차원 효과가 극심하게 작용할 것으로 예상된다. 종래의 두꺼운 흡수체 구조를 갖는 바이너 리 마스크의 경우 마스크 3차원 효과에 의해 이미징 성능이 저하되기 때문에 고 개구수 시스템에 적용하기 어렵다고 예상된다. 따라서 본 논문에서는 감쇠 형 위상변위 마스크 구조를 활용하여 흡수체 두께를 감소시키며 고 개구수 극 자외선 노광 시스템에서 이미징 성능을 향상시킬 수 있는 구조를 제시한다. 위상변위 효과를 갖기 위해서는 흡수영역과 반사영역의 위상차 180도를 가 져야 하며 이를 만족하는 두께를 감소시키기 위해서는 굴절률이 낮은 위상변 위층의 물질을 사용해야 한다. Pt는 굴절률이 낮으며 소광계수가 높아 이에 해당하는 흡수체 물질로 매우 적합하다. 하지만 Pt는 플라즈마를 통한 건식에칭 공정이 어렵다고 알려져 있다. 이를 해결하기 위해서는 스페이서 층들을 흡수체 구조에 삽입하여 각 Pt 층의 두께를 감소시켜 결정성을 감소시켜야 한 다. 스페이서 물질의 경우 이미징 성능에 크게 영향을 주지 않지만 Pt와의 접 착성이 높은 물질을 사용할 경우 세정 공정 도중의 손상을 최소화할 수 있다. CrN 스페이서를 사용할 경우 세정 용액인 황산과 과산화 수소 혼합물 및 암 모늄과 과산화 수소 혼합물에 내화학성을 갖는다. Pt 위상변위층과 CrN 스페 이서를 활용한 흡수체 구조의 마스크 패턴을 구현하기 위해서 Cl2/Ar 가스를 활용한 유도결합 플라즈마 에칭 공정을 진행하였다. Pt 층의 결정성 감소로 인해 충분한 식각비를 확보하였으며 80° 패턴의 측벽각도 구현이 가능하다. Pt 위상변위층과 CrN 스페이서 층을 활용한 6% 감쇠형 위상변위 마스크 구조의 이미징 성능을 평가하고 분석하기 위해 노광 시뮬레이션을 진행하였 다. 이미징 성능을 극대화하기 위하여 패턴 모양 및 선폭에 따라 조명계 조건 을 최적화하였다. 위상변위 마스크의 경우 얇은 흡수체 두께와 위상변위 효과 에 의해 0차광 대비 고차회절광 효율이 향상된다. 따라서 위상변위 마스크를 적용할 경우 바이너리 마스크보다 명암비, 이미지 로그 기울기 등과 같은 에 어리얼 이미지 특성들을 향상시킨다. 특히, 7 nm 선형 패턴에 대하여 스토카 스틱 이미징 특성들인 임계 치수 균일도와 선 가장자리 거칠기가 감소한다. 또한 낮아진 흡수체 두께로 인해 피치에 따른 정 초점에 대한 범위가 감소한 다. 1:1 ~ 1:5 피치 비율을 갖는 10 nm 수직 및 수평 패턴을 해상할 경우 공정 마진의 최대 초점 심도와 노출 허용도가 증가한다. 이는 정 초점 범위의 감소로 인해 공정 마진이 증가한 효과이다. 추가적으로 1:5 피치 비율의 고립 패턴의 초점 심도를 향상시키기 위해서는 보조패턴의 적용이 필요하다. 위상 변위 마스크의 경우 보조패턴 영역에서 반사도를 갖기 때문에 보다 큰 보조패 턴 크기를 적용할 수 있어 이미징 특성이 더욱 개선된다. 15 nm의 컨택홀 패턴에 대하여 위상변위 마스크를 사용할 경우 선형 패턴 결과와 마찬가지로 바이너리 마스크에 비해 0차광 대비 고차회절광 효율이 증가하여 x 및 y축 방향의 명암비 및 이미지 로그 기울기가 향상된다. X축 방향의 -1차 및 1차 회절광에 해당하는 효율에 비해 y축 방향의 -1차 및 1차 회절광의 효율이 다소 높게 나타나 y축 방향의 이미징 특성이 보다 우수하 게 나타난다. 위상변위 마스크를 통해 방향에 따른 이미징 특성 차이를 감소 시킬 수 있다. 우수한 에어리얼 이미지를 바탕으로 현상된 레지스트 이미지 또한 바이너리 마스크에 비하여 우수한 퍼포먼스를 보이며 스토카스틱 이미징 특성인 임계 치수 균일도와 원 가장자리 거칠기가 감소한다. 결론적으로, Pt 위상변위층과 CrN 스페이서 층을 활용하여 6% 위상변위 마스크 구조를 설계하였고 0차광 대비 고차회절광 효율을 증가시켜 에어리얼 이미지, 현상된 레지스트 이미지 특성들을 향상시켰다. 해당 마스크의 경우 세 정 공정과 건식 에칭 공정이 고려된 마스크로 유력한 고 개구수 극자외선 노 광기술용 마스크로 활용할 수 있을 것으로 기대된다.; Extreme ultraviolet lithography (EUVL) with high numerical aperture (NA) is one of the leading candidate technologies for patterning sub-3-nm logic nodes. However, adopting the same incident angle of 6° and demagnification factor of 4 in a 0.55-NA system will cause problems. One of these problems is the overlapping light cones of the incident light and the light reflected by an EUV mask. To solve these problems, an anamorphic lens system, which uses different demagnification factors for the x and y directions, is currently being developed. However, the anamorphic lens system generates different mask 3D effects than those of the traditional isomorphic lens system. In addition, the mask 3D effect is more severe for high NA EUVL because sub-10-nm patterns should be resolved. Therefore, using the conventional binary intensity mask (BIM) with a thick absorber is limited because the imaging performance is degraded by the severe mask 3D effect. This study suggests a novel EUV mask structure using an attenuated phase shift mask (PSM) for high NA EUVL to improve imaging properties with a thin absorber stack. To reduce the absorber stack thickness satisfy the 180° phase difference of the light in bright and dark regions of an EUV mask, the phase shifter should have a low refractive index. Pt has both a low refractive index and a high extinction coefficient, which makes it suitable as a material for the absorber stack. However, it is well known that etching Pt with plasma is difficult. To solve this problem, the crystallinity of each Pt layer should be decreased by decreasing the layer thickness. The thicknesses of the Pt layers are reduced by inserting spacer layers between them. Although the imaging performance based on the spacer material is very fine, using a high adhesive material such as CrN for a spacer minimizes the damage during wet cleaning with solutions such as sulfuric peroxide mixture and ammonium peroxide mixture. In this study, to pattern this absorber stack composed of Pt and CrN layers, an inductively coupled plasma dry etching process using Cl2/Ar gas was performed. Because the crystallinity of each Pt layer is reduced by inserting spacer layers, a mask pattern with a sidewall angle of approximately 80° was implemented. To evaluate and analyze the imaging performance of 6% attenuated PSM using Pt phase shifter and CrN spacer, lithography simulation was performed. For the vertical line and space pattern, the ratio of ±1st/0th-order diffraction efficiency was enhanced by using PSM, as it has a thinner absorber stack than that of BIM. Therefore, diffracted photons with pattern information are more easily transferred to the wafer, resulting in higher properties of aerial images with PSM. In addition, stochastic imaging properties such as critical dimension uniformity (CDU) and line edge roughness of the developed photoresist patterns are reduced as compared to those of the BIM. Moreover, the maximum depth of focus (DOF) and exposure latitude of the common process window are improved when resolving 10-nm vertical and horizontal patterns using a 1:1–1:5 pitch ratio all at once. The common process window is enlarged by reducing the best focus range for all patterns when using PSM. Finally, applying the sub-resolution assist feature (SRAF) in the EUV PSM improves the DOF of isolated patterns. Because of the reflectivity in the SRAF region of the PSM, it can have a larger SRAF, which improves imaging performance. The ratio of ±1st/0th-order diffraction efficiency for a 15-nm half-pitch contact hole pattern is increased when using PSM. Therefore, the image contrast and normalized image log slope of the x and y directions are improved. In addition, the difference between the vertical and horizontal patterns in the aerial image is reduced. Because the quality of the aerial image is superior when using the PSM, the stochastic imaging properties of the developed resist image, such as CDU and circle edge roughness, (CER) are also reduced. In this study, a 6% attenuated PSM using a Pt phase shifter and CrN spacer was designed for a 0.55-NA EUVL system and was evaluated using a lithography simulation tool. Because this mask not only improves the imaging performance but is compatible with wet cleaning and dry etching processes, it is expected to be a good EUV mask for 0.55-NA EUVL.
URI
https://repository.hanyang.ac.kr/handle/20.500.11754/109774http://hanyang.dcollection.net/common/orgView/200000435682
Appears in Collections:
GRADUATE SCHOOL[S](대학원) > NANOSCALE SEMICONDUCTOR ENGINEERING(나노반도체공학과) > Theses (Ph.D.)
Files in This Item:
There are no files associated with this item.
Export
RIS (EndNote)
XLS (Excel)
XML


qrcode

Items in DSpace are protected by copyright, with all rights reserved, unless otherwise indicated.

BROWSE