Browsing byAuthor안진호

Jump to:
All A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
  • Sort by:
  • In order:
  • Results/Page
  • Authors/Record:

Showing results 7 to 36 of 103

Issue DateTitleAuthor(s)
2011-11Carbon Contamination Analysis and Its Effect on Extreme Ultra Violet Mask Imaging Performance Using Coherent Scattering Microscopy/In-Situ Accelerated Contamination System안진호
2011-11Carbon contamination of EUV mask and its effect on CD performance안진호
2019-04Characteristics of band modulation FET on sub 10 nm SOI안진호
2011-06Characteristics of CVD graphene nanoribbon formed by a ZnO nanowire hardmask안진호
2018-04Characterization of Free-Standing Nano-Membranes by Using Ellipsometry안진호
2016-07Coherent scattering microscopy as an effective inspection tool for analyzing performance of phase shift mask안진호
2020-07A comprehensive study on the effect of tin top and bottom electrodes on atomic layer deposited ferroelectric Hf˂inf˃0.5˂/inf˃Zr˂inf˃0.5˂/inf˃O˂inf˃2˂/inf˃ thin films안진호
2019-07Crystallized ZnO films by inserting the inert metal on ITO and their improved on/off current performance안진호
2014-07Dependence of Interface Charge Trapping on Channel Engineering in Pentacene Field Effect Transistors안진호
2014-06Dielectric function of Si1-xGex films grown on silicon-on-insulator substrates안진호
2013-01Dielectric Stacking Effect of Al2O3 and HfO2 in Metal-Insulator-Metal Capacitor안진호
2015-03Dimensionally controlled complex 3D sub-micron pattern fabrication by single step dual diffuser lithography (DDL)안진호
2015-11Effect of extreme ultraviolet photoresist underlayer optical properties on imaging performance안진호
2012-06Effect on Critical Dimension Performance for Carbon Contamination of Extreme Ultraviolet Mask Using Coherent Scattering Microscopy and In-situ Contamination System안진호
2017-12Effects of an In Vacancy on Local Distortion of Fast Phase Transition in Bi-doped In3SbTe2안진호
2018-06Effects of Electroformed Fe-Ni Substrate Textures on Light-trapping in Thin Film Solar Cells안진호
2018-12Effects of hydrogen annealing temperature on the resistive switching characteristics of HfOx thin films안진호
2017-11Effects of Y Dopant on Lattice Distortion and Electrical Properties of In3SbTe2 Phase-Change Material안진호
2014-03Electrode dependent interfacial layer variation in metal-oxide-semiconductor capacitor안진호
2013-03Enhanced light extraction from Y2O3:Eu3+ phosphor films via vacuum nano-imprint lithography using spin-on dielectric materials안진호
2020-03Enhanced resistive switching characteristics of HfOx insulator fabricated by atomic layer deposition and La(NO3)(3)center dot 6H(2)O solution as catalytic oxidant안진호
2018-02Enhanced uniformity in electrical and optical properties of ITO thin films using a wide thermal annealing system안진호
2016-03EUV pellicle 의 standoff 거리에 따른 이미지 전사 특성 평가안진호
2016-09EUV 펠리클 투과도에 따른 이미지 전사 특성 분석안진호
2012-11Evaluation of lithographic performance of extreme ultra violet mask using coherent scattering microscope안진호
2015-11Evaluation of Metal Absorber Materials for Beyond Extreme Ultraviolet Lithography안진호
2011-12Fabrication of 2D photonic crystal assisted Y2O3:Eu3+ thin-film phosphors by direct nano-imprinting안진호
2016-09Fabrication of Fe3O4-ZnO core-shell nanoparticles by rotational atomic layer deposition and their multi-functional properties안진호
2019-10Fabrication of free-standing nanoscale SiNx membranes with enhanced burst pressure via improved etching process안진호
2011-01Fabrication of trench nanostructures for extreme ultraviolet lithography masks by atomic force microscope lithography안진호

BROWSE