605 0

Optical properties of EUV mask for enhanced imaging performance

Title
Optical properties of EUV mask for enhanced imaging performance
Author
김득규
Alternative Author(s)
김득규
Advisor(s)
안진호
Issue Date
2022. 2
Publisher
한양대학교
Degree
Master
Abstract
ABSTRACT Optical properties of EUV mask for enhanced imaging performance Deuk Gyu Kim Department of Nanoscale Semiconductor Engineering Hanyang University Supervised by Prof. Jinho Ahn Recently, extreme ultraviolet (EUV) lithography was finally employed in production for 7 nm node devices. In the EUV exposure system, the mask 3D effect occurs due to the oblique incident optical system structure and the thick absorber thickness compared to the incident wavelength of the EUV mask, which is a major cause of deterioration of mask imaging performance. The increased importance of mask 3D effects at smaller feature sizes drives the need for advanced EUV masks to provide high contrast images, such as alternative masks including high-k masks and low-n phase shift masks (PSM). In this paper, using the diffraction characteristics of EUV masks, we studied the optical properties of absorbers that can improve the imaging performance and mitigate the mask 3D effects. We evaluated the phase and amplitude behavior of diffracted orders for the extinction coefficient (k) and refractive index (n), which are optical properties of the absorber, to see the effects of the mask absorber materials on the diffraction characteristics. Simulation evaluations were performed at 0.33NA 14 nm half-pitch (HP) patterns and 0.55NA 12 nm half-pitch (HP) patterns for application in high-NA systems as well as resolution limit linewidths in line and space patterns (L/S) of conventional EUV exposure systems. We arranged the optics and illumination system according to each exposure system condition and limited the broader range of absorbers to low-n materials (0.87 ≤ n ≤ 0.92) to ensure an appropriate phase shift effect. We found that the image split, which means the difference in distance between the aerial images formed from each pole of the dipole illumination, was reduced by using the optimum phase delta between the 0th and 1st diffraction orders. In addition, it was possible to control the placement error in each monopole by improving the amplitude balancing of the two diffracted orders in the low-n absorber range. As a result, we optimized the phase delta and amplitude balancing of two diffracted orders by controlling the optical properties of the absorber in an attenuated PSM and confirmed the effect of improving mask imaging performance through this approach.
URI
http://hanyang.dcollection.net/common/orgView/200000590447https://repository.hanyang.ac.kr/handle/20.500.11754/168056
Appears in Collections:
GRADUATE SCHOOL[S](대학원) > NANOSCALE SEMICONDUCTOR ENGINEERING(나노반도체공학과) > Theses (Master)
Files in This Item:
There are no files associated with this item.
Export
RIS (EndNote)
XLS (Excel)
XML


qrcode

Items in DSpace are protected by copyright, with all rights reserved, unless otherwise indicated.

BROWSE