740 0

극자외선 노광 공정용 마스크 흡수체 구조의 최적화 및 위상변위 마스크 연구

Title
극자외선 노광 공정용 마스크 흡수체 구조의 최적화 및 위상변위 마스크 연구
Other Titles
A Study of optimized mask absorber stack and attenuated phase shift mask for EUV Lithography
Author
김병헌
Alternative Author(s)
Kim Byung-Hun
Advisor(s)
안진호
Issue Date
2007-08
Publisher
한양대학교
Degree
Master
Abstract
반도체 소자는 잘 알려진 바와 같이 무어의 법칙(Moore’s Law)에 의해 지난 30년간 진보를 계속해 왔다. 이에 따른 반도체의 고집적화, 고용량화가 진행되어감에 따라 반도체를 설계 및 제조하는 데에 있어서 요구되는 소자의 크기는 점차 줄어들고 있는 실정에 있다. 이러한 고집적화, 초소형화의 추세에 만족하는 소자를 제조하기 위해서는 현재보다 높은 해상도를 실현할 수 있는 노광 공정이 반드시 필요하다. 현재의 노광 공정이 이러한 해상도를 실현하기에는 그 한계성이 지적되면서, 이를 대치할 수 있는 차세대 노광 공정(NGL: Next Generation Lithography)의 개발이 필요하게 되었다. 이러한 차세대 노광 기술 중 극자외선 노광 공정(EUVL: Extreme Ultra-Violet Lithography)은 현재 가장 적용 가능성이 높은 양상용 차세대 노광 기술로 여겨지고 있다. EUVL은 다른 노광 기술들과 차별되어 가지는 특징은 반사형 노광계와 반사형 마스크를 사용한다는 점이다. EUVL을 구성하는 여러 가지 요소기술들 중에서 마스크는 노광 기술의 성패를 결정짓는 핵심적인 요소이다. EUVL 마스크는 기본적으로 극자외선을 반사하는 반사형 다층막 과 반사형 다층막의 산화 및 오염의 침투를 방지하기 위한 capping 층이 있다. 그리고 식각 공정 및 결함 수정시 다층막 보호를 위한 buffer층 과 극자외선을 흡수하여 패턴을 형성하는 흡수체 층으로 이루어져 있다. 본 연구 에서는 EUVL 마스크의 패턴을 형성하는 흡수체 구조에 대한 최적화 연구와 해상도 향상기술 (RET: resolution enhancement technology)의 하나인 EUVL용 위상변위 마스크에 대한 연구를 진행하였다. EVUL 마스크의 absorber stack의 최적화 연구는 패턴의 형성과 마스크의 생상량, 결함검사, 결함수정등 많은 문제점 들과 직결되기 때문에 중요한 요소로 여겨지고 있다. EUVL 마스크의 흡수체 구조는 반사형 다층 박막을 보호하기 위한 buffer층과 패턴을 형성하기 위한 absorber층으로 되어있으며, 결함 검사를 위한 반사 방지층(ARC: anti-reflection coating)이 선택적으로 사용된다. 본 실험에서는 반사도 전산모사와 시험적인 방법을 통하여 absorber stack을 구성하는 각 층의 물질을 조사와 최적화된 absorber stack의 구조를 연구 하였다. 최적화된 Absorber stack은 EUV 파장 13.5nm에서 1%미만의 낮은 반사도 값을 가지며 동시에 결함 검사 효율을 높이기 위한 DUV 파장에257nm에서 5%미만의 낮은 반사도를 만족해야 한다. 그리고 shadow effect를 최소화 하기 위한 가장 얇은 두께로 구성되어야 하며 식각 특성이나 세정특성에도 우수한 물질로 구성된 구조를 목표로 하였다. Ru은 capping층으로써 산화 방지막으로 우수한 물질이고 다층박막의 반사도를 향상시킬 수 있다. 그리고 absorber 층인 TaN와의 매우 높은 식각 선택비를 가진다. 이로 인하여 Ru은 산화를 방지하는 capping층과 반사형 다층박막을 보호하는 buffer층의 역할을 동시에 수행할 수 있는 우수한 물질로 평가되었고, Ru을 buffer/capping의 일체형 구조로 사용하였다. 흡수체층 TaN는 가장 높은 EUV 흡수특성을 가지지만, 결함 검사를 위한 DUV 반사도에서는 높은 특성을 보였다. 따라서 결함 검사의 효율을 높이기 위해 흡수체 구조 DUV 반사도를 저하시키는 반사 방지층을 조사 하였다. 조사된 후보 물질 중 Al₂O₃가 가장 낮은 DUV반사도 특성을 보이는 반사 방지층으로 나타났으며 반사도 전산모사 결과 Al₂O₃두께 27nm, TaN두께 20nm Ru 2nm의 두께에서 0.97% EUV 반사도와 4.4%의 DUV 반사도를 동시에 만족시키는 우수한 absorber stack 구조를 얻을 수 있었다. 이러한 결과를 바탕으로 실제 증착 실험과 반사도 측정을 통하여 이론적 결과와 실험적 결과를 비교 분석하였다. 그 결과, 최적화된 absorber stack의 구조 Al₂O₃(27nm)/TaN(20nm)/Ru(2nm)를 가지는 마스크는 EUV 반사도 1.75%로 이론적 반사도와 거의 일치 하는 것을 알 수 있었다. 그리고DUV 반사도는 검사 파장 257nm에서 DUV 반사도 4.8%의 반사도 값을 가지는 것을 확인 하였다. 이러한 결과를 바탕으로 볼 때, Al₂O₃ (27nm) / aN (20nm) / Ru (2nm) 구조의 absorber stack은 47nm의 매우 얇은 absorber stack의 두께로 shadow effect와 같은 문제점을 최소화 할 수 있는 구조이고 목표에 적합한 우수한 absorber stack으로 판단된다. 다음으로 기존의 EUVL 마스크의 해상도 한계를 극복하기 위한 Attenuated phase shift mask (Att-PSM)에 대한 연구를 진행하였다. Att-PSM은 흡수 영역과 반사 영역의 180°의 위상차를 이용하여 해상도를 향상시키는 마스크이다. Att-PSM은 흡수 영역과 반사 영역의 180°위상차 와 흡수체 영역의 적절한 반사도를 가져야 한다. 본 실험에서는 EUV 마스크에 일반적으로 사용되는 후보 물질들과 Fabry-Perot 간섭계 구조를 바탕으로 하여 Att-PSM의 구조를 알아보았다. 그리고 180°의 위상차와 6%이상의 attenuation을 가지고, 결함 검사의 효율을 높이기 위해 5%미만의 낮은 DUV 반사도를 만족하는 구조를 목표로 하였다. Att-PSM 구조는 TaN attenuator와 Al₂O₃ spacer, Mo phase shifter로 구성 되어있다. 전산 모사 실험 결과, TaN/Al₂O₃의 적절한 두께 조합을 가질 때, 검사파장 257nm와 199nm에서 동시에 5%미만의 반사도를 가지는 조건을 얻을 수 있었다. 그리고 phase shifter인 Mo의 두께 변화에 따라 DUV 반사도 특성을 확인한 결과 Mo의 두께 변화에 관계없이 일정한 DUV 반사도 값을 가지는 것을 확인하였다. 이러한 이론적 결과를 확인하기 위해 Mo의 두께에 따른 시편을 제작하여 DUV 반사도를 측정한 결과 257nm에서 4.5%로 거의 일정한 반사도를 가짐을 증명하였다. 이것으로 Mo을 phase shifter로 사용하면 높은 inspection contrast를 가지는 Att-PSM의 제작 가능성을 확인하였다. 전산모사를 통하여 Mo의 두께 변화에 따른 위상차와 흡수체 영역의 반사도를 알아본 결과, Mo가28nm~34nm의 값을 가질 때, EUV 파장 13.5nm에서 180°의 위상차를 가지며 6%이상의 attenuation을 가지는 조건을 찾았다. 이러한 전산모사 결과를 바탕으로 TaN(21nm)/Al₂O₃(21nm)/Mo(24nm)의 구조는 높은 검사 효율을 가지는 Att-PSM에 적합한 구조라 생각된다. 제안된 Att-PSM의 특성은 aerial image와 process window를 이용하여 본 연구에서 개발된 EUV 마스크와 비교 분석 하였다. 그 결과, 14nm의 isolated line에 대한 aerial image에서 Att-PSM은 기존의 EUV 마스크에 비해 우수한 image contrast와 edge contrast를 가지는 것을 확인 할 수 있다. 그리고 defocus에 따른 CD변화를 관찰한 결과, Att-PSM이 binary mask에 비해 더 큰 DOF값을 가지며, 더 넓은 process window를 가지는 것을 확인 할 수 있다. 위와 같이 종합적인 결과를 보았을 때, binary mask의 해상도 한계인 14nm의 isolated line에서 TaN(21nm)/Al₂O₃(21nm)/Mo(24nm) 구조를 가지는 Att-PSM의 사용은 기존의 EUV 마스크의 해상도 한계를 극복하기 위한 적합한 구조라 판단 된다.; Extreme ultraviolet lithography (EUVL) has been considered as one of the most competitive next generation lithography (NGL) technologies. Fabrication of EUVL mask has become a critical issue among many elemental technologies in developing EUVL because it is directly related to the throughput of EUVL. In this study, we investigated the novel absorber stack of the mask and attenuated phase shift mask (Att-PSM) for EUVL application. The first experiment is focused on the optimization of absorber stack. For successful optimization of an absorber stack, there are several requirements to be satisfied; 1) low EUV reflectivity (<1% at 13.5nm) to maximize the imaging contrast, 2) low DUV reflectivity (5% at 257nm) for higher efficiency in DUV inspection process, 3) thin thickness of absorber stack to minimize the shadow effect, and 4) good etching properties. Ru layer improves EUV reflectivity as well as etch selectivity TaN absorber layer. Accordingly, Ru can be applied as both capping and buffer layer simultaneously. TaN showed a great potential as an extreme ultra violet absorber layer but did not meet DUV reflectivity requirements. Al2O3 was a good candidate material as an anti-reflection coating (ARC) for DUV wavelength. Al2O3 ARC with optimum thickness reduces the DUV reflectivity from 42.5% to 4.4 % at 257 nm. As a result, the novel absorber stack proposed here consists of 2nm-Ru buffer/capping, 27nm-TaN absorber, and 20nm-Al2O3 ARC. The total thickness of the absorber stack is only 47 nm and its reflectivity of EUV and DUV are 0.97 % at 13.5 nm and 4.4 % at 248 nm, respectively. Next experiment is based on the study of attenuated phase shift mask for EUVL. Using PSM, EUVL may be more easily extended to the 22nm node. The Att-PSM proposed in this study is based on Fabry-Perot structure that consists of TaN attenuator, Al2O3 spacer and Mo phase shifter. Imaging properties of Att-PSM were compared to the typical EUVL mask with novel absorber stack by aerial image simulation. DUV reflectivity can be lowered to 5% at 257nm with thickness variation of the attenuator (TaN) and the spacer (Al2O3). Since the thickness of phase shifter (Mo) does not affect the DUV reflectivity, variation of Mo thickness can be used to control phase shift and attenuation. The thickness of Mo in the range of 28nm to 32nm shows that phase shift is 180±6°and attenuation is from 6% to 12%. Therefore, this Att-PSM not only demonstrates 180°phase shift with 12% attenuation but also shows high inspection contrast at DUV wavelength. Aerial image and depth of focus (DOF) simulation were performed at 14nm isolated line. The aerial image simulation results show steeper edge profile, higher image contrast, and larger DOF for Att-PSM compared to the binary mask. As a conclusion, enhanced aerial images provide greater process window and exposure latitude of Att-PSM compared to the binary mask for printing isolated lines.
URI
https://repository.hanyang.ac.kr/handle/20.500.11754/148967http://hanyang.dcollection.net/common/orgView/200000407091
Appears in Collections:
GRADUATE SCHOOL[S](대학원) > MATERIALS SCIENCE & ENGINEERING(신소재공학과) > Theses (Master)
Files in This Item:
There are no files associated with this item.
Export
RIS (EndNote)
XLS (Excel)
XML


qrcode

Items in DSpace are protected by copyright, with all rights reserved, unless otherwise indicated.

BROWSE