254 0

결맞음성 회절현미경을 이용한 극자외선 위상 변위 마스크의 해상도 향상 효과의 확인

Title
결맞음성 회절현미경을 이용한 극자외선 위상 변위 마스크의 해상도 향상 효과의 확인
Other Titles
Verification of Resolution Extension with Extreme Ultraviolet Phase-Shift Mask by Coherent Scattering Microscopy
Author
이재욱
Alternative Author(s)
Lee Jae Uk
Advisor(s)
안진호
Issue Date
2015-02
Publisher
한양대학교
Degree
Doctor
Abstract
however, 70-nm-thick TaN, which is the most common absorber material, causes a serious shadowing problem when EUV light is exposed to the mask at a 6° incident angle. The mask-shadowing effect is a unique phenomenon caused by the mirror-based mask structure and oblique incident angle of the light in the EUVL system. This results in a horizontal-vertical (H-V) critical dimension (CD) bias, an ellipticity in the contact hole pattern, eventually, a patterning limit and CD non-uniformity. Reducing the absorber thickness is the most effective method for minimizing the mask-shadowing effect, but this can deteriorate the mask image contrast. Therefore, an attenuated phase-shift mask (PSM) is proposed as a potential method for extending the patterning limit below 16 nm. By applying Mo, which has a refractive index (n) similar to that of the TaN absorber but with a lower absorption coefficient, as the phase-shift layer, thin attenuated PSMs with various reflectivity values were obtained by controlling the Mo thickness. The proposed PSM comprises a 16.5-nm-thick TaN absorber layer and a 24-nm-thick Mo phase shifter on 2-nm-thick Ru-capped Mo/Si multilayers. This attenuated PSM results in reductions of 3.65 and 1.84 nm in the H-V CD bias compared with the conventional binary-intensity mask having a 70-nm-thick TaN absorber for the 22-nm line and space 1:1 vertical dense pattern under 0.25 and 0.33 numerical aperture (NA) illumination conditions , respectively. Coherent scattering microscopy (CSM) has been suggested as a new inspection/metrology technique for this PSM. This technique can reconstruct the mask image without a lens, by taking iterative Fourier transforms and inverse Fourier transforms of a diffraction pattern captured by a charge-coupled device (CCD). CSM utilizes a 13.5-nm wavelength light source and a 6° incident angle, which is exactly the same with the EUV exposure tool. However, the inspection light source requires coherency, which is obtained by high-harmonic generation (HHG). A coherent 13.5-nm wavelength was obtained at the 59th harmonic by focusing an 800-nm 50 femto-second pulse laser in a Ne gas medium. Thus, temporally and spatially coherent EUV light that was suitable for the CSM was obtained. Basic reconstruction of the mask image was performed by a phase-retrieval algorithm, through iterative Fourier transforms and inverse Fourier transforms. In addition to the error-reduction algorithm (ERA) and a hybrid input-output (HIO) algorithm were adopted. The HIO algorithm can solve the image stagnation problem and reconstruct the original images more accurately. The feasibility of CSM as an actinic metrology tool was investigated by measuring the CD and normalized intensity log-slope (NILS) values of EUV masks before and after intentional contamination. CSM was as effective as critical dimension scanning electron microscopy (CD-SEM) in measuring the CD values of clean EUV masks in the case of the non-shadowing direction; Extreme-ultraviolet lithography (EUVL) is a promising next-generation high-volume manufacturing (HVM) technology for sub-22-nm semiconductor devices. EUV lithography is a simple process employing a shorter wavelength than ArF lithography, thus reducing costs. However, EUV lithography has several issues because reflective optics must be used instead of refractive optics. In this thesis, the problem of the reflective mask and its solution are investigated using a new mask-inspection technique. The first-generation EUV mask is a binary-type mask having a reflector with maximum EUV refection and an absorber pattern with maximum EUV absorbance. This mask structure requires absorber patterns with a reflectivity under 0.5%; however, only the CSM could detect the shadowing effect resulting in smaller clear mask CD values. Owing to the weak interaction between the low-density contaminant layer and EUV radiation, the effect of contamination on the CSM-based CD measurements was not significantly more than on the CD-SEM results. Furthermore, CSM can be used to determine the NILS values under the illumination conditions of an HVM tool. Using CSM, the imaging performances of the PSM were compared with those of the binary-intensity mask (BIM). The fabricated PSM exhibited a reflectivity of 12.7%, which is comparable to the simulated result of 13.7% at a 13.5-nm centroid wavelength. The intensity profile of the reconstructed PSM image, obtained by CSM, exhibited a sharp intensity reduction between the line and space patterns by destructive interference, which is a strong indication of the phase-shift effect. Additionally, the 1st and 0th-order diffraction intensity ratios obtained with the attenuated PSM were higher than those with BIM. Furthermore, improvements in the lithographic properties of the PSM, such as the image contrast and shadowing effect, were observed under the illumination conditions (0.33 NA, σ = 0.9) by CSM. In conclusion, various imaging properties of the EUV mask, such as the aerial CD, shadowing effect, image contrast, NILS, and even phase-shift effect, can be obtained using CSM. CSM is proven to be the most functional analysis technique, and PSM is a potential solution for resolution enhancement in EUV lithography technology.
URI
https://repository.hanyang.ac.kr/handle/20.500.11754/128857http://hanyang.dcollection.net/common/orgView/200000425969
Appears in Collections:
GRADUATE SCHOOL[S](대학원) > MATERIALS SCIENCE & ENGINEERING(신소재공학과) > Theses (Ph.D.)
Files in This Item:
There are no files associated with this item.
Export
RIS (EndNote)
XLS (Excel)
XML


qrcode

Items in DSpace are protected by copyright, with all rights reserved, unless otherwise indicated.

BROWSE